GitHub ‧ Trending SystemVerilog Today
订阅

近期历史最近 100 条记录

2024-09-25 openhwgroup / cvw 248
2024-06-22 svunit / svunit 180
2024-06-04 intel / fpga-npu 85
2024-05-30 nand2mario / nestang 315
2024-05-30 meenalpannase / Bench_assignment
2024-05-12 pulp-platform / hci 9
2024-05-09 cooper-union-ece-251-marano / final-project-ece-251-spring-2024-computer_design_template
2024-05-07 serge0699 / verif_elective_miet 1
2024-04-29 a2fpga / a2fpga_core 20
2024-04-27 adam-maj / tiny-gpu 6979
2024-04-26 ucb-bar / caliptra-aes-acc 2
2024-04-25 opengateware / arcade-irem_m92 13
2024-04-20 gtaylormb / opl3_fpga 348
2024-04-14 abdelrhman-oun / DDR5_PHY_WriteOperation 20
2024-04-12 analogdevicesinc / testbenches 4
2024-04-03 MPSU / APS 81
2023-09-01 pmendozap / DL_II_23_ejemplos 1
2023-08-25 microsoft / cheriot-safe 3
2023-08-20 pulp-platform / unbent
2023-08-20 OpenXiangShan / Penglai-SagittaVerificationPlatform
2023-08-02 nathaliafab / Projeto_IH_RISC-V 10
2023-07-19 yuri-panchul / basics-graphics-music 54
2023-07-17 crossroadsfpga / enso 23
2023-07-01 STARS-Design-Track-2023 / outel-8227 2
2023-06-29 agg23 / fpga-gameandwatch 23
2023-06-28 lowRISC / rv_plic 3
2023-06-25 kirtan03 / Pipelined-Processor 1
2023-06-23 pulp-platform / FlooNoC 59
2023-06-22 pulp-platform / snitch_cluster 38
2023-06-17 estufa-cin-ufpe / RISC-V-Pipeline 1
2023-06-06 A simple superscalar out of order RISC-V (micro)processor camel-cdr
2023-05-30 chipsalliance / Cores-VeeR-EL2 248
2023-05-27 OFS / oneapi-asp
2023-05-15 skudlur / diablo 9
2023-05-14 pulp-platform / icache-intc 2
2023-05-12 pulp-platform / mailbox_unit 3
2023-05-12 pulp-platform / carfield 54
2023-05-12 pulp-platform / pulp_cluster 42
2023-03-27 MiSTer-devel / Arcade-BattleZone_MiSTer
2023-03-13 ic-lab-duth / CompArchCourseDUTH
2023-03-12 recogni / svlib 19
2023-03-11 sifferman / labs-with-cva6 7
2023-03-07 chipsalliance / caliptra-rtl 65
2023-03-02 cse140l-wi23 / lab3_starter
2023-03-02 pulp-platform / hyperbus 3
2023-02-15 microsoft / cheriot-ibex 20
2023-02-14 NYU-Processor-Design / onboarding-lab-4
2023-02-14 WangXuan95 / FPGA-FOC 396
2023-02-12 pulp-platform / cheshire 187
2023-02-11 qleenju / PDPU 17
2023-02-09 fpgasystems / Coyote 86
2023-02-07 schnommus / eurorack-pmod 75
2023-01-31 muneeb-mbytes / i3c_avip 2
2023-01-13 dshekhalev / FEC 17
2023-01-09 chipsalliance / Cores-VeeR-EH1 797
2022-12-30 omrzv / digitalsystems_tests_spring2022
2022-12-21 pezy-computing / pzbcm 15
2022-12-15 muneeb-mbytes / UVMF 4
2022-12-15 ThalesGroup / cva6-softcore-contest 22
2022-12-09 WangXuan95 / FPGA-MPEG2-encoder 15
2022-12-08 I-Doctor / gnn-acceleration-framework-with-FPGA
2022-11-29 UBC-CPEN311-Classrooms / 2022w1-lab4-update1 1
2022-11-27 muneeb-mbytes / apb_avip 19
2022-11-20 Xilinx / open-nic-shell 70
2022-11-19 Proving leftpad correct two-dozen different ways nequo
2022-11-09 pulp-platform / apb 6
2022-11-08 pulp-platform / opentitan_peripherals 1
2022-11-07 agg23 / openfpga-pokemonmini 13
2022-11-05 pulp-platform / clint 5
2022-11-04 pulp-platform / iDMA 85
2022-10-28 avisalmon / VGAstarter_DE10_lite 1
2022-10-24 Heineken97 / Algoritmo-de-Booth
2022-10-21 WangXuan95 / FPGA-USB-Device 438
2022-10-14 openhwgroup / cva6 2242
2022-10-12 OPAE / ofs-platform-afu-bbb 8
2022-10-12 fpgasystems / Coyote-CIRCT 1
2022-10-10 chipsalliance / sv-tests 285
2022-10-09 OPAE / intel-fpga-bbb 99
2022-10-04 knhitesh / AXI4_FIFO
2022-10-02 hallerp / atmt_2022 1
2022-09-29 avinash-nonholonomy / olin-cafe-f22 2
2022-09-28 agg23 / openfpga-NES 159
2022-09-26 veripool / verilog-mode 245
2022-09-25 EDAMC / DigitalDesignSchool 9
2022-09-18 agg23 / analogue-pocket-utils 33
2022-09-16 pulp-platform / pulp 308
2022-09-12 ericlewis / openfpga-spacerace 20
2022-09-11 WangXuan95 / USTC-RVSoC 193
2022-09-11 ARC-Lab-UF / intel-training-modules 17
2022-09-06 MiSTer-devel / PokemonMini_MiSTer 7
2022-09-03 jkopanski / 802.15.4 3
2022-08-29 lizhirui / AXI-SDCard-High-Speed-Controller 50
2022-08-29 raysalemi / uvmprimer 350
2022-08-26 muneeb-mbytes / SystemVerilog_Course 13
2022-08-25 svenka3 / ivl_uvm 17
2022-08-19 WangXuan95 / FPGA-SATA-HBA 20
2022-08-07 makslevental / bragghls 29
2022-07-26 avashist003 / SystemVerilog_Design_Verification 9
2022-07-26 hdl-util / hdmi 980
2022-07-20 pulp-platform / pulp_soc 42

匿名用户只展示最新 100 条榜单历史,更多历史数据请登录后查看,支持时光机按天筛选

Sponsors

今日解忧 - 赛博修行,舒缓静心,21世纪解压神器!
今日历 - 全球最全的日历,日历届的航空母舰!
百晓生AI - 全能创作助手

猜你喜欢